OpenCores
URL https://opencores.org/ocsvn/versatile_library/versatile_library/trunk

Subversion Repositories versatile_library

[/] [versatile_library/] [trunk/] [rtl] - Rev 39

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
39 added simple port prio based wb arbiter unneback 4926d 07h /versatile_library/trunk/rtl
38 updated andor mux unneback 4926d 08h /versatile_library/trunk/rtl
37 corrected polynom with length 20 unneback 4932d 04h /versatile_library/trunk/rtl
36 added generic andor_mux unneback 4933d 12h /versatile_library/trunk/rtl
35 added vl_mux2_andor and vl_mux3_andor localparam unneback 4934d 00h /versatile_library/trunk/rtl
34 added vl_mux2_andor and vl_mux3_andor unneback 4934d 00h /versatile_library/trunk/rtl
33 updated wb3wb3_bridge unneback 4947d 02h /versatile_library/trunk/rtl
32 added vl_pll for ALTERA (cycloneIII) unneback 4954d 12h /versatile_library/trunk/rtl
31 sync FIFO updated unneback 4974d 07h /versatile_library/trunk/rtl
30 updated counter for level1 and level2 function unneback 4974d 07h /versatile_library/trunk/rtl
29 updated counter for level1 and level2 function unneback 4974d 07h /versatile_library/trunk/rtl
28 added sync simplex FIFO unneback 4975d 09h /versatile_library/trunk/rtl
27 added sync simplex FIFO unneback 4975d 09h /versatile_library/trunk/rtl
26 typo in sync FIFO, added cnt_lfsr_ce_q cnt_lfsr_ce_clear_q unneback 4975d 10h /versatile_library/trunk/rtl
25 added sync FIFO unneback 4976d 00h /versatile_library/trunk/rtl
24 added vl_dff_ce_set unneback 4977d 07h /versatile_library/trunk/rtl
23 fixed port map error in async fifo 1r1w unneback 4977d 22h /versatile_library/trunk/rtl
22 added binary counters unneback 4978d 03h /versatile_library/trunk/rtl
21 reg -> wire in and or mux in logic unneback 4978d 23h /versatile_library/trunk/rtl
18 naming convention vl_ unneback 4980d 10h /versatile_library/trunk/rtl

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.