OpenCores
URL https://opencores.org/ocsvn/versatile_library/versatile_library/trunk

Subversion Repositories versatile_library

[/] [versatile_library/] [trunk] - Rev 42

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
42 updated mux_andor unneback 4880d 10h /versatile_library/trunk
41 typo in registers.v unneback 4880d 11h /versatile_library/trunk
40 new build environment with custom.v added as a result file unneback 4880d 12h /versatile_library/trunk
39 added simple port prio based wb arbiter unneback 4881d 09h /versatile_library/trunk
38 updated andor mux unneback 4881d 09h /versatile_library/trunk
37 corrected polynom with length 20 unneback 4887d 05h /versatile_library/trunk
36 added generic andor_mux unneback 4888d 13h /versatile_library/trunk
35 added vl_mux2_andor and vl_mux3_andor localparam unneback 4889d 01h /versatile_library/trunk
34 added vl_mux2_andor and vl_mux3_andor unneback 4889d 01h /versatile_library/trunk
33 updated wb3wb3_bridge unneback 4902d 03h /versatile_library/trunk
32 added vl_pll for ALTERA (cycloneIII) unneback 4909d 13h /versatile_library/trunk
31 sync FIFO updated unneback 4929d 08h /versatile_library/trunk
30 updated counter for level1 and level2 function unneback 4929d 08h /versatile_library/trunk
29 updated counter for level1 and level2 function unneback 4929d 08h /versatile_library/trunk
28 added sync simplex FIFO unneback 4930d 10h /versatile_library/trunk
27 added sync simplex FIFO unneback 4930d 10h /versatile_library/trunk
26 typo in sync FIFO, added cnt_lfsr_ce_q cnt_lfsr_ce_clear_q unneback 4930d 11h /versatile_library/trunk
25 added sync FIFO unneback 4931d 01h /versatile_library/trunk
24 added vl_dff_ce_set unneback 4932d 08h /versatile_library/trunk
23 fixed port map error in async fifo 1r1w unneback 4932d 23h /versatile_library/trunk

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.