OpenCores
URL https://opencores.org/ocsvn/wb_builder/wb_builder/trunk

Subversion Repositories wb_builder

[/] [wb_builder/] [trunk/] [generator/] [wishbone.pl] - Rev 15

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
15 changed reading and setting of priority for shared bus systems unneback 7209d 09h /wb_builder/trunk/generator/wishbone.pl
14 changed reading and setting of priority for shared bus systems unneback 7209d 09h /wb_builder/trunk/generator/wishbone.pl
13 changed reading and setting of priority for shared bus systems unneback 7209d 10h /wb_builder/trunk/generator/wishbone.pl
12 changed reading and setting of priority for shared bus systems unneback 7209d 10h /wb_builder/trunk/generator/wishbone.pl
11 added default values for trafic_supervision unneback 7209d 12h /wb_builder/trunk/generator/wishbone.pl
10 added default values for trafic_supervision unneback 7209d 12h /wb_builder/trunk/generator/wishbone.pl
9 added missing parantes at line 1931 unneback 7209d 12h /wb_builder/trunk/generator/wishbone.pl
8 corrected generation of err_i and rty_i signals for cbs systems unneback 7291d 10h /wb_builder/trunk/generator/wishbone.pl
7 updated priority handling for both shared bus and crossbar switch unneback 7318d 02h /wb_builder/trunk/generator/wishbone.pl
6 typo error fot tgc unneback 7326d 02h /wb_builder/trunk/generator/wishbone.pl
5 corrected tgc_i for slaves where slave uses tgc but master do not support this feaature. A classic cycle will be inserted unneback 7328d 01h /wb_builder/trunk/generator/wishbone.pl
4 character '_' now usable in slave names
corrected mux implementation for slave(s)
corrected xilinx optimization where shift reg starts with value 'U'
corrected tgc_i for slaves
unneback 7332d 11h /wb_builder/trunk/generator/wishbone.pl
2 Initial check-in unneback 7346d 07h /wb_builder/trunk/generator/wishbone.pl

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.