OpenCores
URL https://opencores.org/ocsvn/ion/ion/trunk

Subversion Repositories ion

[/] - Rev 92

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
92 'hello' demo updated to use new startup files ja_rd 4895d 21h /
91 FIX: startup files can now be used to run from FLASH or BRAM ja_rd 4895d 21h /
90 Added 'Adventure' demo to be run from the DE-1 FLASH ja_rd 4895d 21h /
89 Added startup and utility functions for 'bare metal' applications running from FLASH, plus linker file ja_rd 4895d 21h /
88 Added UART RX interface to MPU template ja_rd 4895d 21h /
87 Added UART RX interface to MPU template ja_rd 4895d 21h /
86 Adapted TB template to use log trigger address ja_rd 4895d 21h /
85 BUG FIX: log2 function was wrong ja_rd 4895d 21h /
84 Added 'trigger address' for file logging to both the
vhdl TB and the python script
ja_rd 4895d 21h /
83 BUG FIX: LHU was not doing sign extension properly
BUG FIX: SLTIU decoding was wrong
ja_rd 4895d 21h /
82 bug fix: SLTI wasn't working properly in some cases ja_rd 4897d 21h /
81 Added a wait state to the SRAM area for the DE-1 demo code ja_rd 4904d 16h /
80 Stub cache fixed
Now supports code refills from static 16- and 8- bit memory
Plus many mirror corrections
ja_rd 4904d 16h /
79 modelsim wave window script updated ja_rd 4905d 18h /
78 Code sample 'memtest' adapted to test read from flash ja_rd 4905d 18h /
77 Simulation template now supports simulated flash
Synthesis template adapted to latest cache interface
Python script now supports simulated flash
ja_rd 4905d 18h /
76 Adapted pregenerated vhdl files to latest changes ja_rd 4905d 18h /
75 Added support for 8-bit-wide static memory (e.g. Flash)
Updated demo 'top' file to use the DE-1 onboard flash
ja_rd 4905d 18h /
74 Fixed (harmless) error in simulation template 2 ja_rd 4905d 23h /
73 Fixed comment about write cycles in cache module ja_rd 4905d 23h /

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.