OpenCores
URL https://opencores.org/ocsvn/or1k_old/or1k_old/trunk

Subversion Repositories or1k_old

[/] - Rev 68

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
68 Added hook for l.sys 204. Changed SPR of flag (no more CCR) lampret 8699d 00h /
67 Added simulator "application load". lampret 8699d 00h /
66 Added another set of eval_ functions that should be used directly by simulator.
evalsim_ and setsim_ don't go through MMU transaltion mechanism.
lampret 8699d 00h /
65 Added DMMU stats. lampret 8699d 00h /
64 SPR bit definition moved to spr_defs.h. lampret 8699d 00h /
63 Fixed a bug in getsprbits/setsprbits functions (now mask can have arbitry
alignment of bits).
lampret 8699d 00h /
62 OR1K DMMU model. lampret 8699d 00h /
61 2000-09-26 Joel Sherrill <joel@OARcorp.com>

* libc/sys/rtems/include/pthread.h: Added file missed by earlier
commit of RTEMS modifications.
joel 8713d 18h /
60 Memory model changed. lampret 8734d 03h /
59 2000-09-05 Joel Sherrill <joel@OARcorp.com>

* Merged newlib-1.8.2-rtems-20000905.diff which includes
or16 and or32 configuration support.
joel 8734d 14h /
58 This commit was manufactured by cvs2svn to create tag 'newlib-1-8-2'. 8740d 12h /
57 This commit was generated by cvs2svn to compensate for changes in r56, which
included commits to RCS files with non-trunk default branches.
joel 8740d 12h /
56 2000-08-30 Joel Sherrill <joel@OARcorp.com>

* Base import of unmodified newlib 1.8.2.
joel 8740d 12h /
55 Added 'dv' command for dumping memory as verilog model. lampret 8750d 00h /
54 Regular maintenance. lampret 8750d 00h /
53 Added setjmp/longjmp. lampret 8755d 00h /
52 Comment character changed. lampret 8810d 20h /
51 Exception detection changed a bit. lampret 8810d 20h /
50 Added CURINSN macro. lampret 8810d 20h /
49 Changed simulation mode to non-virtual (real). lampret 8810d 20h /

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.