OpenCores
URL https://opencores.org/ocsvn/pcie_ds_dma/pcie_ds_dma/trunk

Subversion Repositories pcie_ds_dma

[/] - Rev 23

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
23 Correct default settings value. v.karak 4066d 09h /
22 correct wishbone_test_en.htm dsmv 4078d 06h /
21 debug ambpex5_sx50t_wishbone dsmv 4078d 06h /
20 debug wb_test dsmv 4078d 07h /
19 dsmv 4078d 07h /
18 read block_id - ok dsmv 4079d 00h /
17 ambpex5_sx50t_wishbone - simulation is ok dsmv 4100d 02h /
16 ambpex5_sx50t_wishbone - add files, don't work dsmv 4101d 00h /
15 Add ambpex5_sx50t_wishbone\src dsmv 4101d 02h /
14 Add project ambpex5_sx50t_wishbone dsmv 4101d 02h /
13 correct wishbone_test_en.htm dsmv 4106d 04h /
12 Add description of project wishbone dsmv 4106d 04h /
11 fixed cpl_byte_count in core64_tx_engine_m4.vhd dsmv 4108d 07h /
10 Add tests for sp605_lx45t_wishbone. Translate test message to english. Correct run_ahdl.tcl for run several tests. dsmv 4109d 02h /
9 Save information about stream state for ioctl_state_mem() call. v.karak 4160d 07h /
8 Add ncurses framewok for console table output. libncurses (or libncurses5-dev) must be installed in the system. Change adm_test Makefile for using nctable.cpp. The next step is change adm_test for show output into console table. v.karak 4162d 04h /
7 Добавлен модуль, куда будут вынесены функциями для работы с памятью. Планируется реализовать поддержку DMA для памяти из пространства пользователя. v.karak 4176d 04h /
6 pexdrv: драйвер создает файл усройства /dev/pexdrv0 и т.д. Придется изменить все приложения которые были построены по старой схеме. Изменена обработка прерываний в согласованном режиме (работает правильно). Доработан скрипт загрузки драйвера. Добавлена цель install в Makefile драйвера.

common: теперь можно собирать отдельные библиотеки libboard.so, libpexboard.so. Пример использования библиотек в каталоге opencores/application/board_exam. Позволяет построитьуниверсальное тестовое приложение. Немнго доработаны все классы. common/dma будет содержать реализацию буфера DMA в userspace.

test_adm: проверен на ввод данных. Добавлен файл конфигурации теста в каталог application/adm_test/bin. В него добавлена возможность собирать данные в файл для графического анализа программой ISVI.

Все мини тесты переработаны и по крайней мере собираются. Проверялись не все.
v.karak 4178d 03h /
5 Correct set local DMA source number. v.karak 4182d 13h /
4 update sp605_lx45t_wishbone dsmv 4291d 01h /

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.