OpenCores
URL https://opencores.org/ocsvn/potato/potato/trunk

Subversion Repositories potato

[/] - Rev 44

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
44 Add instruction cache and use the WB adapter as dmem interface skordal 3312d 11h /
43 Improve instruction fetch logic skordal 3312d 11h /
42 Move check for stall from irq_asserted to exception_taken in EX stage skordal 3312d 11h /
41 Make continouous status register reads asynchronous skordal 3312d 11h /
40 Reduce example design clock frequency to 50 MHz

- Also includes a minor change to make the address decoder/interconnect work
better with burst transfers.
skordal 3312d 11h /
39 Disable IRQs when handling exceptions skordal 3312d 11h /
38 Add "Hello World" test application skordal 3312d 13h /
37 Add macro to set the TOHOST register from C code skordal 3312d 13h /
36 Ensure correct read of CSR after stall skordal 3312d 13h /
35 Prevent jumping/branching when stalling skordal 3312d 13h /
34 Prevent flushing the pipeline if it is stalling skordal 3312d 13h /
33 Ensure correct read of CSR after stall skordal 3312d 13h /
32 Prevent jumping/branching when stalling skordal 3315d 10h /
31 Prevent flushing the pipeline if it is stalling skordal 3315d 11h /
30 Add testcase for a combination of instructions that fail when using cache skordal 3317d 16h /
29 Add reset functionality for the WB arbiter state machine skordal 3320d 11h /
28 Add rudimentary User's manual skordal 3326d 10h /
27 Prevent exceptions from being taken while stalling skordal 3326d 12h /
26 Prevent exceptions from being taken while stalling

Jumping to an exception handler while stalling and waiting for a load/store
instruction to finish can cause undefined results from the load/store
instruction. This actually fixes the issue mentioned in revision r20.
skordal 3326d 15h /
25 Add placeholder cache modules and a wishbone arbiter skordal 3328d 19h /

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.