OpenCores
URL https://opencores.org/ocsvn/potato/potato/trunk

Subversion Repositories potato

[/] - Rev 54

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
54 Update benchmarks to work with supervisor spec v1.7 skordal 3375d 17h /
53 Upgrade processor core to conform to the supervisor spec v1.7 skordal 3377d 18h /
52 Correct .data section of sw-jal test skordal 3377d 18h /
51 Add scall/ecall, sbreak/ebreak and timer interrupt tests skordal 3377d 18h /
50 Update test environment to the new supervisor ISA skordal 3389d 18h /
49 Correct spelling of "privileged" skordal 3399d 18h /
48 Create branch for upgrading to the new privileged ISA skordal 3399d 18h /
47 Tag version 0.1 of the Potato Processor skordal 3400d 02h /
46 Remove branch: cache-playground skordal 3402d 20h /
45 Merge branch cache-playground (r23-r30 and r34-r44) into trunk

This primarily adds the following features the the processor:
- A direct-mapped instruction cache with configurable cache line width and
number of cache lines.
- Various bug fixes for bugs that appeared when the processor could run
instructions at full speed but had to stall for data.
- A "Hello World" test application.
skordal 3402d 20h /
44 Add instruction cache and use the WB adapter as dmem interface skordal 3402d 20h /
43 Improve instruction fetch logic skordal 3402d 20h /
42 Move check for stall from irq_asserted to exception_taken in EX stage skordal 3402d 20h /
41 Make continouous status register reads asynchronous skordal 3402d 20h /
40 Reduce example design clock frequency to 50 MHz

- Also includes a minor change to make the address decoder/interconnect work
better with burst transfers.
skordal 3402d 20h /
39 Disable IRQs when handling exceptions skordal 3402d 20h /
38 Add "Hello World" test application skordal 3402d 21h /
37 Add macro to set the TOHOST register from C code skordal 3402d 21h /
36 Ensure correct read of CSR after stall skordal 3402d 21h /
35 Prevent jumping/branching when stalling skordal 3402d 21h /

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.