OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] - Rev 250

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
250 Design changed..... doubts about OS using jguarin2002 4266d 21h /
249 Using a register as a 66.5 MHZ timer counter jguarin2002 4269d 11h /
248 Corrected an error on the normal jguarin2002 4277d 17h /
247 Advance in application... but some normalization problems had popped up jguarin2002 4278d 09h /
246 framework for conditional and accumulative operations DESCRIBED NOT IMPLEMENTED jguarin2002 4284d 21h /
245 Avances pequenos en los documentos jguarin2002 4297d 16h /
244 Changed the directory structure a little bit, there is now wide arith (which encapsulates in a single RTL 3 adders or 3 adders jguarin2002 4300d 14h /
243 The Registers BASE+1, BASE+2, BASE+3 are used now for debugging purposes jguarin2002 4300d 14h /
242 AS1 produced an unnoticed delay, the compiler geenerated an extra stage..... so a delay constant was added to sync this extra stage with the operation via ssync_chain jguarin2002 4300d 14h /
241 fmul32 x 6 multipliers wide jguarin2002 4301d 10h /
240 last minute correction jguarin2002 4301d 15h /
239 wide multiplicator added to avoid optimization jguarin2002 4301d 15h /
238 wide multiplicator added to avoid optimization jguarin2002 4301d 15h /
237 corrected errors in raytrac.vhd jguarin2002 4301d 17h /
236 Tunnning delay added to q0 queue jguarin2002 4301d 20h /
235 Tunnning delay added to q0 queue jguarin2002 4301d 20h /
234 raytrac update nothing major jguarin2002 4302d 19h /
233 raytrac sopc component updated jguarin2002 4302d 20h /
232 raytrac sopc component updated jguarin2002 4302d 20h /
231 nfetch address counter implemented in a whole register for convinience jguarin2002 4302d 21h /

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.