OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

[/] - Rev 113

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
113 Read with single block works on cards, but not in simulation. SdData.sv
sends data with one "XXXX" cycle right before the crc.
rkastl 4929d 01h /
112 Save wide mode with out gHighSpeedMode = true rkastl 4929d 01h /
111 Sclk moved to neg. edge -> setup and hold times for fast mode are easier
to reach. (only micro sd does not work in fast mode).
rkastl 4929d 01h /
110 All except microsd work in highspeed mode. rkastl 4929d 01h /
109 Added a data ram. rkastl 4929d 01h /
108 Added a ram to the testbed rkastl 4929d 01h /
107 Forgot to add Counter in last commit. rkastl 4929d 01h /
106 Fixes #29: All cards respond, but they do not all work. rkastl 4929d 01h /
105 Changing speed works! refs #33 rkastl 4929d 01h /
104 SdController: Configuration ready to switch to high speed, refs #33 rkastl 4929d 01h /
103 SdController: Checking speed works rkastl 4929d 01h /
102 SdController: Enabling wide mode works, refs #33 rkastl 4929d 01h /
101 Receiving response to ACMD51 works including data, refs #33. rkastl 4929d 01h /
100 SdController: Receiving data after ACMD51, but CRC is wrong rkastl 4929d 01h /
99 SdController: Checking bus width without receiving data response rkastl 4929d 01h /
98 SdController: Receive response to CMD7 (except when busy is activated) rkastl 4929d 01h /
97 SdController: CMD55 out of main state into Region rkastl 4929d 01h /
96 SdController: Region extracted from main state, select card in config
state
rkastl 4929d 01h /
95 SdController: entity and architecture split, all outputs registered
SdCardModel: Delay between response and next command added
SdData: Busy checking

refs #33
rkastl 4929d 01h /
94 CmdTimeout (8 Clocks) added, SdData inserted into top, refs #31 rkastl 4929d 01h /

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.