OpenCores
URL https://opencores.org/ocsvn/sqmusic/sqmusic/trunk

Subversion Repositories sqmusic

[/] - Rev 18

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
18 WIP gryzor 4123d 00h /
17 Added real arguments gryzor 4123d 14h /
16 Added C++ class to process command line arguments gryzor 4123d 18h /
15 WIP gryzor 4124d 02h /
14 WIP gryzor 4124d 10h /
13 Mistery solved (?) gryzor 4124d 15h /
12 Phase generator for FM synthesis gryzor 4124d 17h /
11 FM gryzor 4125d 10h /
10 Memos added gryzor 4125d 11h /
9 Added --wait option gryzor 4126d 22h /
8 Added mame files for reference gryzor 4127d 01h /
7 Fixed const integer widths gryzor 4127d 15h /
6 Fixed Capcom interface to work with FPGAs gryzor 4127d 16h /
5 Added PWM to 1942 board gryzor 4128d 10h /
4 Added PWM converter. Not proven with 1942 yet. gryzor 4129d 14h /
3 Added all files needed to play 1942's music gryzor 4130d 16h /
2 svn test gryzor 4130d 17h /
1 The project and the structure was created root 4131d 02h /

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.