OpenCores
URL https://opencores.org/ocsvn/srdydrdy_lib/srdydrdy_lib/trunk

Subversion Repositories srdydrdy_lib

[/] - Rev 12

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
12 Added absolute priority arbitration to ring to avoid
having two ring taps transmit at same time
ghutchis 5263d 12h /
11 Updated bridge example to fix a number of small bugs.
First packet now exits bridge from all ports.
ghutchis 5264d 11h /
10 Fixed "locked" variable in rrslow ghutchis 5264d 15h /
9 Added rx_gigmac, additional debug work on concentrator & fib ghutchis 5264d 15h /
8 Added compiling version of bridge example ghutchis 5266d 03h /
7 Added rrslow ghutchis 5268d 07h /
6 Modified "B" output buffer for full-rate operation ghutchis 5270d 15h /
5 Added new component for port ring ghutchis 5271d 07h /
4 Added example directory with basic bridge ghutchis 5272d 02h /
3 Added small/synchronizer FIFO, along with minimal testbench ghutchis 5273d 01h /
2 Initial commit of directory structure and basic components ghutchis 5277d 11h /
1 The project and the structure was created root 5285d 02h /

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.