OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] - Rev 163

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
163 derived from hex2rom 1.2 arniml 5873d 06h /
162 convert to read-before-write style arniml 5873d 06h /
161 build D directly in clocked process for synchronous ROM arniml 5873d 07h /
160 remove ROM recognition force arniml 5873d 07h /
159 deal with ROM arniml 5873d 08h /
158 set 7.0 arniml 5873d 09h /
157 sw/verif/system/production_test arniml 5873d 09h /
156 initial check-in arniml 5873d 09h /
155 sw/verif/system/production_test arniml 5874d 06h /
154 initial check-in arniml 5874d 06h /
153 remodel synchronous RAM arniml 6553d 14h /
152 This commit was manufactured by cvs2svn to create tag 'rel_1_0'. 6559d 05h /
151 update arniml 6559d 05h /
150 final updates for release 1.0 arniml 6559d 05h /
149 process t420 tag arniml 6559d 05h /
148 * t410 testbench
* document generic parameters
arniml 6559d 05h /
147 update arniml 6559d 06h /
146 added t410 toplevel plus testbench arniml 6559d 06h /
145 added t410 testbench arniml 6559d 06h /
144 initial check-in arniml 6559d 06h /

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.