OpenCores
URL https://opencores.org/ocsvn/uart_fpga_slow_control_migrated/uart_fpga_slow_control_migrated/trunk

Subversion Repositories uart_fpga_slow_control_migrated

[/] - Rev 25

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
25 MODIFIED: small comment improvement aborga 4664d 21h /
24 UPDATED: added folder testbenches with a generic tb_UART_control.vhd testbench aborga 4664d 21h /
23 MODIFIED:

renamed lantronix_input and lantronix_output (historical)
to uart_din and uart_dout for consistency

propagated also changes in all comments
aborga 4664d 23h /
22 aborga 4664d 23h /
21 MODIFIED:

renamed lantronix_input and lantronix_output (historical)
to uart_din and uart_dout for consistency

propagated also changes in all comments
aborga 4665d 00h /
20 MODIFIED: block diagram with new namings for uart din and dout aborga 4665d 00h /
19 MODIFIED:

renamed lantronix_input and lantronix_output (historical)
to uart_din and uart_dout for consistency

propagated also changes in all comments
aborga 4665d 00h /
18 MODIFIED: removed unnecessary libraries aborga 4665d 20h /
17 DELETED: useless package folder aborga 4665d 22h /
16 MODIFIED: added

uart_rst_i : in std_logic;
uart_leds_o : out std_logic_vector(7 downto 0);

in the entity declaration
aborga 4665d 22h /
15 UPDATED: email address aborga 4667d 21h /
14 ADDED: backup of the project description aborga 4668d 13h /
13 UDATED: simple documentation aborga 4668d 15h /
12 ADDED: original documentation of the UART_16550 core by LeFevre aborga 4668d 15h /
11 ADDED: Block diagram of the UART_FPGA_slow_control_main_diagram
1) pdf format
2) Microsoft visio source file (sorry...)
aborga 4668d 15h /
10 MODIFIED: added further description and examples aborga 4668d 21h /
9 ADDED: HowToSVN.txt to handle repositories with windows Tortoise SVN aborga 4668d 22h /
8 ADDED: some more documentation

1) screenshot of a full read and write sequence with questasim
2) example hex commands to be sent via RealTerm
aborga 4668d 22h /
7 MODIFIED: line 359 baudrate set aborga 4668d 23h /
6 CREATED: how to change baudrate text file aborga 4668d 23h /

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.