OpenCores
URL https://opencores.org/ocsvn/vga_lcd/vga_lcd/trunk

Subversion Repositories vga_lcd

[/] - Rev 28

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
28 Changed counter-library.
Changed vga-core.
Added 32bpp mode.
rherveille 8195d 23h /
27 Added 32bpp
Fixed some typos
Added bandwidth section
rherveille 8195d 23h /
26 Added 32bpp tests rherveille 8195d 23h /
25 C-include file.
Initial release
rherveille 8262d 16h /
24 Updated testbench for VGA/LCD Core version 2.0 rherveille 8269d 19h /
23 Added Copyright/Licence header rherveille 8270d 15h /
22 VGA Core v2.0
Document revision 0.7
rherveille 8290d 12h /
21 VGA Core v2.0
Document revision 0.7
rherveille 8290d 12h /
20 Switched parameter order. rherveille 8299d 16h /
19 Major revisions throughout the core.
Moved Color Lookup Table inside core.
Changed control & status register contents.
Changed port names to be conform to new naming convention.
Fixed bug in CAB assertion.
Changed video memory address generation.
and many more ....
rherveille 8299d 17h /
18 Removed files. They are not used anymore. rherveille 8328d 14h /
17 Major rework.
Included generic memory models.
Core now supports pixel clocks at same speed as wishbone clock (except for 8bpp color mode)
rherveille 8328d 15h /
16 - Changed Directory Structure
- Added verilog Source Code
- Changed IO pin names and defines statements
rudi 8355d 21h /
15 Created directory structure (documentation, vhdl, verilog) rherveille 8391d 10h /
14 Added CLUT bank switching.
Replaced multiplier with simple counters.
Fixed timing bug.
rherveille 8392d 06h /
13 Replaced csm.vhd by csm_pb.vhd. Core does not require CLKx2 clock anymore. rherveille 8392d 18h /
12 Added new top-level and sub-level (vga_and_clut.vhd & csm.vhd);
adds color-lookup-table to the VGA core (i.e. on-chip CLUT).
Ram generation has been tested with Altera and Xilinx parts.
rherveille 8401d 22h /
11 Major bug fixes in Wishbone Master and ColorProcessor blocks.
Core did not respond correctly to delayed ACK_I signals.

Added built-in Color Lookup Tables.
rherveille 8401d 22h /
10 Design now uses Xilinx-BlockRAMs instead of selectRAM rherveille 8408d 13h /
9 no message rherveille 8409d 07h /

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.