OpenCores
URL https://opencores.org/ocsvn/8051/8051/trunk

Subversion Repositories 8051

[/] [8051/] [tags/] [rel_19/] [rtl/] - Rev 143

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
143 add wire sub_result, conect it to des_acc and des1. simont 7724d 03h /8051/tags/rel_19/rtl/
142 optimize state machine. simont 7725d 04h /8051/tags/rel_19/rtl/
141 remove define OC8051_AS2_PCL, chane signal src_sel2 to 2 bit wide. simont 7725d 06h /8051/tags/rel_19/rtl/
140 cahnge assigment to pc_wait (remove istb_o) simont 7725d 06h /8051/tags/rel_19/rtl/
139 add aditional alu destination to solve critical path. simont 7726d 00h /8051/tags/rel_19/rtl/
138 Change buffering to save one clock per instruction. simont 7726d 00h /8051/tags/rel_19/rtl/
137 change to fit xrom. simont 7726d 05h /8051/tags/rel_19/rtl/
136 registering outputs. simont 7726d 05h /8051/tags/rel_19/rtl/
135 prepared start of receiving if ren is not active. simont 7732d 04h /8051/tags/rel_19/rtl/
134 fix bug in case execution of two data dependent instructions. simont 7732d 04h /8051/tags/rel_19/rtl/
133 fix bug in substraction. simont 7732d 07h /8051/tags/rel_19/rtl/
132 change branch instruction execution (reduse needed clock periods). simont 7735d 22h /8051/tags/rel_19/rtl/
128 chance idat_ir to 24 bit wide simont 7745d 05h /8051/tags/rel_19/rtl/
127 fix bug (cyc_o and stb_o) simont 7745d 05h /8051/tags/rel_19/rtl/
126 define OC8051_XILINX_RAMB added simont 7745d 05h /8051/tags/rel_19/rtl/
123 fiz bug iv pcs operation. simont 7747d 01h /8051/tags/rel_19/rtl/
122 deifne OC8051_ROM added simont 7750d 05h /8051/tags/rel_19/rtl/
121 Change pc add value from 23'h to 16'h simont 7750d 05h /8051/tags/rel_19/rtl/
120 defines for pherypherals added simont 7751d 03h /8051/tags/rel_19/rtl/
119 remove signal sbuf_txd [12:11] simont 7751d 07h /8051/tags/rel_19/rtl/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.