OpenCores
URL https://opencores.org/ocsvn/aemb/aemb/trunk

Subversion Repositories aemb

[/] [aemb/] [branches/] [DEV_SYBREON/] [rtl/] - Rev 95

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
95 Abstracted simulation kernel (aeMB_sim) to split simulation models from synthesis models. sybreon 6065d 08h /aemb/branches/DEV_SYBREON/rtl/
94 Prevent fHZD & rBRA[1] sybreon 6067d 06h /aemb/branches/DEV_SYBREON/rtl/
93 Minor enable fix sybreon 6067d 06h /aemb/branches/DEV_SYBREON/rtl/
92 Partitioned simulation model. sybreon 6070d 09h /aemb/branches/DEV_SYBREON/rtl/
91 Made idle thread PC track main PC. sybreon 6071d 15h /aemb/branches/DEV_SYBREON/rtl/
90 Fixed Carry bit bug. sybreon 6071d 15h /aemb/branches/DEV_SYBREON/rtl/
89 Changed simulation kernel. sybreon 6071d 15h /aemb/branches/DEV_SYBREON/rtl/
88 Minor optimisations. sybreon 6072d 08h /aemb/branches/DEV_SYBREON/rtl/
86 Some optimisations. sybreon 6073d 01h /aemb/branches/DEV_SYBREON/rtl/
85 Replaced OF/ID blocks with combined block. sybreon 6073d 01h /aemb/branches/DEV_SYBREON/rtl/
84 Added interrupt support. sybreon 6073d 01h /aemb/branches/DEV_SYBREON/rtl/
83 Combined ID/OF blocks. sybreon 6073d 01h /aemb/branches/DEV_SYBREON/rtl/
82 Further optimisations (speed + size). sybreon 6075d 07h /aemb/branches/DEV_SYBREON/rtl/
81 Code cleanup + minor speed regression. sybreon 6075d 08h /aemb/branches/DEV_SYBREON/rtl/
80 Minor optimisations (~10% faster) sybreon 6076d 09h /aemb/branches/DEV_SYBREON/rtl/
78 initial import sybreon 6078d 03h /aemb/branches/DEV_SYBREON/rtl/
76 initial sybreon 6081d 09h /aemb/branches/DEV_SYBREON/rtl/
73 Moved simulation kernel into code. sybreon 6088d 11h /aemb/branches/DEV_SYBREON/rtl/
72 Minor code cleanup. sybreon 6088d 11h /aemb/branches/DEV_SYBREON/rtl/
71 Old version deprecated. sybreon 6095d 14h /aemb/branches/DEV_SYBREON/rtl/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.