OpenCores
URL https://opencores.org/ocsvn/artificial_neural_network/artificial_neural_network/trunk

Subversion Repositories artificial_neural_network

[/] [artificial_neural_network/] [trunk/] [ANN_kernel/] - Rev 10

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
10 Reverted the af_sigmoid files for backward compatibility, and created the af_sigmoid_mat.vhd file based on af_sigmoid2.vhd from revision 9. This activation function is fully compatible with matlabs tansig function. jstefanowicz 2639d 12h /artificial_neural_network/trunk/ANN_kernel/
9 Changes from rev 7 included jstefanowicz 2645d 15h /artificial_neural_network/trunk/ANN_kernel/
8 test bench added, along with weight and bias initialization and some bug fixes jstefanowicz 2645d 16h /artificial_neural_network/trunk/ANN_kernel/
7 ojosynariz 2781d 15h /artificial_neural_network/trunk/ANN_kernel/
6 ojosynariz 2911d 11h /artificial_neural_network/trunk/ANN_kernel/
3 import documentation and Vivado's wrapper ojosynariz 2911d 17h /artificial_neural_network/trunk/ANN_kernel/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.