OpenCores
URL https://opencores.org/ocsvn/async_sdm_noc/async_sdm_noc/trunk

Subversion Repositories async_sdm_noc

[/] [async_sdm_noc/] [branches/] [init/] [common/] - Rev 42

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
38 clean up the VC router wsong0210 4801d 01h /async_sdm_noc/branches/init/common/
35 test bench ready wsong0210 4801d 08h /async_sdm_noc/branches/init/common/
33 debug wsong0210 4802d 09h /async_sdm_noc/branches/init/common/
32 currently debugging the simulation compiling wsong0210 4803d 06h /async_sdm_noc/branches/init/common/
31 test bench ongoing wsong0210 4804d 06h /async_sdm_noc/branches/init/common/
30 test bench cleaning up ongping wsong0210 4805d 07h /async_sdm_noc/branches/init/common/
29 begin the test environment wsong0210 4806d 07h /async_sdm_noc/branches/init/common/
27 eliminate timing loops in all router architectures wsong0210 4806d 08h /async_sdm_noc/branches/init/common/
26 wormhole router compile OK wsong0210 4807d 08h /async_sdm_noc/branches/init/common/
25 resolve conflict wsong0210 4808d 01h /async_sdm_noc/branches/init/common/
24 make a branch wsong0210 4808d 02h /async_sdm_noc/branches/init/common/
22 roll back wsong0210 4808d 02h /async_sdm_noc/trunk/common/
18 allocators_modify wsong0210 4809d 07h /async_sdm_noc/branches/common/
17 allocators wsong0210 4809d 07h /async_sdm_noc/branches/common/
14 output buffers wsong0210 4810d 07h /async_sdm_noc/branches/common/
12 crossbars wsong0210 4810d 08h /async_sdm_noc/branches/common/
11 arbiters wsong0210 4810d 09h /async_sdm_noc/branches/common/
10 script for async cell lib disable timing arc wsong0210 4812d 10h /async_sdm_noc/branches/common/
9 cell library setting up script wsong0210 4813d 01h /async_sdm_noc/branches/common/
8 update the async cell lib wsong0210 4813d 01h /async_sdm_noc/branches/common/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.