OpenCores
URL https://opencores.org/ocsvn/axi4_tlm_bfm/axi4_tlm_bfm/trunk

Subversion Repositories axi4_tlm_bfm

[/] [axi4_tlm_bfm/] [trunk/] [rtl/] [quartus-synthesis/] - Rev 42

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
42 Major enhancements and bugfix. Used DDR for AXI BFM for enhanced functionality and performance. Tested in simulation; TODO update synthesis design files. daniel.kho 3712d 23h /axi4_tlm_bfm/trunk/rtl/quartus-synthesis/
25 Refactored folders. daniel.kho 3790d 05h /axi4_tlm_bfm/trunk/rtl/quartus-synthesis/
23 Added top-level user example used in technical paper. daniel.kho 3797d 23h /axi4_tlm_bfm/trunk/rtl/quartus-synthesis/
18 Added hardware PRBS generator, modularised top-level by having separate file as the tester. daniel.kho 3801d 02h /axi4_tlm_bfm/trunk/rtl/quartus-synthesis/
17 Added more pipelining, enhancements. Tested on BeMicro kit. daniel.kho 3801d 02h /axi4_tlm_bfm/trunk/rtl/quartus-synthesis/
16 Moved transaction counter from BFM to user. This gives the user more control over the number of transactions. The BFM now treats this as an input. daniel.kho 3903d 22h /axi4_tlm_bfm/trunk/rtl/quartus-synthesis/
15 [minor]: cleaned up sources. daniel.kho 3906d 05h /axi4_tlm_bfm/trunk/rtl/quartus-synthesis/
14 Added simple reset logic and verified on hardware. Added PLL to supply test clock to SignalTap. daniel.kho 3914d 19h /axi4_tlm_bfm/trunk/rtl/quartus-synthesis/
13 Fixed one-cycle extra read issue, occurring during fast read. Verified on hardware as well. daniel.kho 3915d 00h /axi4_tlm_bfm/trunk/rtl/quartus-synthesis/
12 Used generic package instead of using tauhop.tlm (abstract package) directly, and updated corresponding context paths. Simulated fine with ModelSim 10.1b. [previous]: Previous update included synthesis fixes ported from simulation sources. daniel.kho 3924d 04h /axi4_tlm_bfm/trunk/rtl/quartus-synthesis/
11 Synthesised design with bugfixes discovered during simulation. Basically, these bugfixes just checks the design's behaviour against the AXI spec, and make sure the assumptions match. daniel.kho 3925d 22h /axi4_tlm_bfm/trunk/rtl/quartus-synthesis/
9 Added synthesis files. Design debugged and synthesised with Quartus. Added synthesis script, and included OS-VVM simulation packages. daniel.kho 3932d 18h /axi4_tlm_bfm/trunk/rtl/quartus-synthesis/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.