OpenCores
URL https://opencores.org/ocsvn/axi4_tlm_bfm/axi4_tlm_bfm/trunk

Subversion Repositories axi4_tlm_bfm

[/] [axi4_tlm_bfm/] [trunk/] [tester/] - Rev 40

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
40 Added asynchronous reset in tester logic. daniel.kho 3735d 00h /axi4_tlm_bfm/trunk/tester/
38 Added coverage-driven randomisation to tester. daniel.kho 3745d 11h /axi4_tlm_bfm/trunk/tester/
24 Updated simulation sources to reflect changes made for synthesis. daniel.kho 3811d 10h /axi4_tlm_bfm/trunk/tester/
20 Updated simulation scripts. daniel.kho 3822d 06h /axi4_tlm_bfm/trunk/tester/
13 Fixed one-cycle extra read issue, occurring during fast read. Verified on hardware as well. daniel.kho 3936d 04h /axi4_tlm_bfm/trunk/tester/
11 Synthesised design with bugfixes discovered during simulation. Basically, these bugfixes just checks the design's behaviour against the AXI spec, and make sure the assumptions match. daniel.kho 3947d 02h /axi4_tlm_bfm/trunk/tester/
10 Written a few more directed testcases (in user.vhdl), and fixed several bugs. TODO move the testcases to the stimuli folder. daniel.kho 3951d 03h /axi4_tlm_bfm/trunk/tester/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.