OpenCores
URL https://opencores.org/ocsvn/axi4_tlm_bfm/axi4_tlm_bfm/trunk

Subversion Repositories axi4_tlm_bfm

[/] [axi4_tlm_bfm/] [trunk/] [workspace/] - Rev 13

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
13 Fixed one-cycle extra read issue, occurring during fast read. Verified on hardware as well. daniel.kho 3923d 21h /axi4_tlm_bfm/trunk/workspace/
11 Synthesised design with bugfixes discovered during simulation. Basically, these bugfixes just checks the design's behaviour against the AXI spec, and make sure the assumptions match. daniel.kho 3934d 20h /axi4_tlm_bfm/trunk/workspace/
9 Added synthesis files. Design debugged and synthesised with Quartus. Added synthesis script, and included OS-VVM simulation packages. daniel.kho 3941d 16h /axi4_tlm_bfm/trunk/workspace/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.