OpenCores
URL https://opencores.org/ocsvn/axi4_tlm_bfm/axi4_tlm_bfm/trunk

Subversion Repositories axi4_tlm_bfm

[/] [axi4_tlm_bfm/] [trunk/] [workspace/] [synthesis/] [quartus/] - Rev 42

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
42 Major enhancements and bugfix. Used DDR for AXI BFM for enhanced functionality and performance. Tested in simulation; TODO update synthesis design files. daniel.kho 3760d 06h /axi4_tlm_bfm/trunk/workspace/synthesis/quartus/
37 Refactored nReset to reset for real hardware. daniel.kho 3791d 04h /axi4_tlm_bfm/trunk/workspace/synthesis/quartus/
30 Refactored synthesis scripts. daniel.kho 3837d 12h /axi4_tlm_bfm/trunk/workspace/synthesis/quartus/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.