OpenCores
URL https://opencores.org/ocsvn/can/can/trunk

Subversion Repositories can

[/] [can/] [trunk/] - Rev 112

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
112 Tx and rx length are limited to 8 bytes regardless to the DLC value. tadejm 7616d 19h /can/trunk/
111 Fixed according to the linter.
Case statement for data_out joined.
mohor 7618d 19h /can/trunk/
110 Fixed according to the linter. mohor 7618d 19h /can/trunk/
109 Fixed according to the linter. mohor 7618d 20h /can/trunk/
108 Fixed according to the linter. mohor 7618d 21h /can/trunk/
107 Fixed according to the linter. mohor 7618d 21h /can/trunk/
106 Unused signal removed. mohor 7624d 19h /can/trunk/
104 Synchronization fixed. In some strange cases it didn't work according to
the VHDL reference model.
tadejm 7625d 08h /can/trunk/
102 Little fixes (to fix warnings). mohor 7627d 23h /can/trunk/
100 Synchronization changed. mohor 7632d 01h /can/trunk/
99 PCI_BIST replaced with CAN_BIST. mohor 7632d 01h /can/trunk/
97 Overrun fifo implemented with FFs, because it is not possible to create such a memory. simons 7637d 12h /can/trunk/
95 Virtual silicon ram instances added. simons 7637d 13h /can/trunk/
93 synthesis full_case parallel_case fixed. mohor 7643d 01h /can/trunk/
92 clkout is clk/2 after the reset. mohor 7643d 09h /can/trunk/
90 paralel_case and full_case compiler directives added to case statements. mohor 7643d 22h /can/trunk/
88 Previous change removed. When resynchronization occurs we go to seg1
stage. sync stage does not cause another start of seg1 stage.
mohor 7644d 19h /can/trunk/
87 When hard_sync or resync occure we need to go to seg1 segment. Going to
sync segment is in that case blocked.
mohor 7644d 20h /can/trunk/
85 Typo fixed. mohor 7646d 11h /can/trunk/
84 clk_cnt reduced from [8:0] to [6:0]. mohor 7647d 18h /can/trunk/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.