OpenCores
URL https://opencores.org/ocsvn/connect-6/connect-6/trunk

Subversion Repositories connect-6

[/] [connect-6/] [trunk/] - Rev 11

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
11 this one doesn't synthesize, but the minmax search is added to the code.
for synthesizable version please see previous ones
sumanta.chaudhuri 4458d 04h /connect-6/trunk/
10 this one compiles with minmax search but has some problems with
the array sorting index, for the moment taking out the index array (next version)
sumanta.chaudhuri 4460d 01h /connect-6/trunk/
9 added the search part sumanta.chaudhuri 4461d 00h /connect-6/trunk/
8 this version sythesizes and works 108 tasks/second for the whole program sumanta.chaudhuri 4461d 00h /connect-6/trunk/
7 A temporary version, please checkout the provious version, this does not work on DE2 sumanta.chaudhuri 4472d 03h /connect-6/trunk/
6 added a script for flat synthesis, took out csim from some scripts which takes
a lot of time
sumanta.chaudhuri 4510d 04h /connect-6/trunk/
5 checking in files so that users can run a demo before attacking synthesis sumanta.chaudhuri 4515d 02h /connect-6/trunk/
4 the synphonycc build for connect6 player,
some more tests needed to see if the build works properly
sumanta.chaudhuri 4516d 23h /connect-6/trunk/
3 checking in the connect-6 Solver that runs on PC, this is the mother program
from which hardware is generated. This code can be found in http://risujin.org/connectk/
only addition to the code is teh capability of playing with DE2 board using serial port
sumanta.chaudhuri 4589d 04h /connect-6/trunk/
2 checking in the directory structure sumanta.chaudhuri 4589d 04h /connect-6/trunk/
1 The project and the structure was created root 4616d 11h /connect-6/trunk/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.