OpenCores
URL https://opencores.org/ocsvn/copyblaze/copyblaze/trunk

Subversion Repositories copyblaze

[/] [copyblaze/] [trunk/] [copyblaze/] [rtl/] [vhdl/] [ip/] [wb_gpio/] - Rev 65

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
59 PRJ:
- directory reorganisation
ameziti 4581d 13h /copyblaze/trunk/copyblaze/rtl/vhdl/ip/wb_gpio/
29 SIM:
- wishbone :
- add constants value of the address of wg_gpio_08 internal registers
ameziti 4594d 00h /copyblaze/trunk/copyblaze/sim/rtl_sim/src/wb_gpio/
23 SIM:
- test the read wishbone instruction
ameziti 4594d 01h /copyblaze/trunk/copyblaze/sim/rtl_sim/src/wb_gpio/
16 DEV:
- wishbone : add wishbone components
ameziti 4596d 17h /copyblaze/trunk/copyblaze/sim/rtl_sim/src/wb_gpio/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.