OpenCores
URL https://opencores.org/ocsvn/csa/csa/trunk

Subversion Repositories csa

[/] [csa/] [trunk/] [sw_sim/] - Rev 44

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
43 improve group_decrypt module simon111 5555d 15h /csa/trunk/sw_sim/
42 add group_decrypt module simon111 5555d 22h /csa/trunk/sw_sim/
41 add three moudule ts_serial_out ts_sync key_cnt simon111 5556d 11h /csa/trunk/sw_sim/
40 add timescale.v file and fix a bug in key_schedule module simon111 5556d 15h /csa/trunk/sw_sim/
34 add binary test date (only sw_sim now ) simon111 5558d 02h /csa/trunk/sw_sim/
24 New directory structure. root 5596d 07h /csa/trunk/sw_sim/
22 decrypt module testbench update simon111 5719d 13h /trunk/sw_sim/
20 finished the stream_cypher module, this module passed modelsim , but doesn't pass veriwell, i don't know why simon111 5733d 12h /trunk/sw_sim/
18 try to add decrypt module (not finished yet) simon111 5743d 14h /trunk/sw_sim/
17 finish block_decypher module simon111 5794d 20h /trunk/sw_sim/
16 add the block_perm and block_sbox simon111 5798d 12h /trunk/sw_sim/
15 finished key_schedule module simon111 5802d 13h /trunk/sw_sim/
13 add key_schedule module(note: this module is not right yet) simon111 5803d 14h /trunk/sw_sim/
10 add the sw simulate stuff for key_perm simon111 5836d 15h /trunk/sw_sim/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.