OpenCores
URL https://opencores.org/ocsvn/dbg_interface/dbg_interface/trunk

Subversion Repositories dbg_interface

[/] [dbg_interface/] [trunk/] - Rev 143

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
143 Signals for easier debugging removed. igorm 7429d 02h /dbg_interface/trunk/
142 Typo fixed. igorm 7429d 02h /dbg_interface/trunk/
141 data_cnt_lim length changed to reduce number of warnings. igorm 7429d 21h /dbg_interface/trunk/
140 CRC checking of incoming CRC added to all tasks. igorm 7430d 13h /dbg_interface/trunk/
139 New release of the debug interface (3rd. release). igorm 7432d 16h /dbg_interface/trunk/
138 Temp version before changing dbg interface. igorm 7438d 19h /dbg_interface/trunk/
136 Table describing chain codes added. igorm 7442d 20h /dbg_interface/trunk/
135 'hz changed to 1'hz because Icarus complains. igorm 7445d 20h /dbg_interface/trunk/
132 Documentation updated. Many missing things added. igorm 7446d 19h /dbg_interface/trunk/
131 Documentation updated. Many missing things added. igorm 7446d 19h /dbg_interface/trunk/
129 New documentation. mohor 7488d 18h /dbg_interface/trunk/
128 Defines WISHBONE_SUPPORTED and CPU_SUPPORTED added. By default both are
turned on.
mohor 7491d 02h /dbg_interface/trunk/
126 run_sim.scr renamed to run_sim for VATS. mohor 7494d 01h /dbg_interface/trunk/
124 Display for VATS added. mohor 7495d 22h /dbg_interface/trunk/
123 All flipflops are reset. mohor 7495d 22h /dbg_interface/trunk/
121 Port signals are all set to zero after reset. mohor 7498d 22h /dbg_interface/trunk/
120 test stall_test added. mohor 7499d 01h /dbg_interface/trunk/
119 cpu_stall_o activated as soon as bp occurs. mohor 7499d 02h /dbg_interface/trunk/
117 Define name changed. mohor 7500d 22h /dbg_interface/trunk/
116 Data latching changed when testing WB. mohor 7500d 22h /dbg_interface/trunk/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.