OpenCores
URL https://opencores.org/ocsvn/eco32/eco32/trunk

Subversion Repositories eco32

[/] [eco32/] - Rev 291

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
291 avoid timing violations in DDR RAM circuit, new .bit files generated hellwig 3257d 12h /eco32/
290 Wishbone-compatible bus signals hellwig 3259d 12h /eco32/
289 new directory structure within fpga hellwig 3260d 09h /eco32/
288 new directory structure within fpga hellwig 3260d 09h /eco32/
287 new directory structure within fpga hellwig 3260d 09h /eco32/
286 AUTHORS file updated hellwig 3260d 10h /eco32/
285 simulator: 30 bit physical addresses hellwig 3268d 04h /eco32/
284 vcdchk tool added hellwig 3268d 14h /eco32/
283 bin2dat tool added hellwig 3268d 14h /eco32/
282 AUTHORS file updated hellwig 3286d 21h /eco32/
281 wishbone spec added hellwig 3365d 18h /eco32/
280 new standalone program: dhrystone hellwig 3368d 16h /eco32/
279 additional EOS32 disk partition, again hellwig 3373d 20h /eco32/
278 additional EOS32 disk partition hellwig 3373d 20h /eco32/
277 lcc: output of pointer constants in backend hellwig 3375d 19h /eco32/
276 simulator: show last interrupt number in decimal hellwig 3391d 18h /eco32/
275 simulator got trace buffer hellwig 3391d 18h /eco32/
274 NetBSD partition even larger hellwig 3398d 05h /eco32/
273 NetBSD partition made larger hellwig 3398d 08h /eco32/
272 NetBSD version changed to 6.1 hellwig 3398d 11h /eco32/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.