OpenCores
URL https://opencores.org/ocsvn/ethmac/ethmac/trunk

Subversion Repositories ethmac

[/] [ethmac/] [tags/] [rel_15/] [bench/] - Rev 180

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
180 Bench outputs data to display every 128 bytes. mohor 7951d 16h /ethmac/tags/rel_15/bench/
179 Beautiful tests merget together mohor 7951d 17h /ethmac/tags/rel_15/bench/
178 Rearanged testcases mohor 7951d 17h /ethmac/tags/rel_15/bench/
177 Bug in MIIM fixed. mohor 7951d 21h /ethmac/tags/rel_15/bench/
170 Headers changed. mohor 7951d 23h /ethmac/tags/rel_15/bench/
169 New testbench. Thanks to Tadej M - "The Spammer". mohor 7952d 00h /ethmac/tags/rel_15/bench/
158 Typo fixed. mohor 7956d 19h /ethmac/tags/rel_15/bench/
157 This testbench will soon be obsolete. Please use tb_ethernet.v mohor 7959d 01h /ethmac/tags/rel_15/bench/
156 Valid testbench. mohor 7959d 01h /ethmac/tags/rel_15/bench/
155 Minor changes. mohor 7959d 01h /ethmac/tags/rel_15/bench/
124 Define ETH_MIIMODER_RST corrected to 0x00000400. mohor 8001d 18h /ethmac/tags/rel_15/bench/
121 gsr added for use when ETH_XILINX_RAMB4 define is set. mohor 8003d 19h /ethmac/tags/rel_15/bench/
117 Clock mrx_clk set to 2.5 MHz. mohor 8007d 22h /ethmac/tags/rel_15/bench/
116 Testing environment also includes traffic cop, memory interface and host
interface.
mohor 8007d 22h /ethmac/tags/rel_15/bench/
108 Testbench supports unaligned accesses. mohor 8085d 01h /ethmac/tags/rel_15/bench/
107 TX_BUF_BASE changed. mohor 8085d 01h /ethmac/tags/rel_15/bench/
92 Some defines that are used in testbench only were moved to tb_eth_defines.v
file.
mohor 8129d 23h /ethmac/tags/rel_15/bench/
80 Small fixes for external/internal DMA missmatches. mohor 8150d 19h /ethmac/tags/rel_15/bench/
67 EXTERNAL_DMA used instead of WISHBONE_DMA. mohor 8160d 23h /ethmac/tags/rel_15/bench/
66 Testbench fixed, code simplified, unused signals removed. mohor 8161d 04h /ethmac/tags/rel_15/bench/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.