OpenCores
URL https://opencores.org/ocsvn/ethmac/ethmac/trunk

Subversion Repositories ethmac

[/] [ethmac/] [trunk/] - Rev 181

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
181 MIIM test look better. mohor 8021d 00h /ethmac/trunk/
180 Bench outputs data to display every 128 bytes. mohor 8023d 19h /ethmac/trunk/
179 Beautiful tests merget together mohor 8023d 20h /ethmac/trunk/
178 Rearanged testcases mohor 8023d 20h /ethmac/trunk/
177 Bug in MIIM fixed. mohor 8024d 00h /ethmac/trunk/
176 lists changed to new directory structure mohor 8024d 02h /ethmac/trunk/
175 Script fixed to new dir structure mohor 8024d 02h /ethmac/trunk/
174 Directory keeper mohor 8024d 02h /ethmac/trunk/
173 Keeps the directory mohor 8024d 02h /ethmac/trunk/
172 NCSIM simulation environment added to cvs mohor 8024d 02h /ethmac/trunk/
171 NCSIM simulation environment added. mohor 8024d 02h /ethmac/trunk/
170 Headers changed. mohor 8024d 02h /ethmac/trunk/
169 New testbench. Thanks to Tadej M - "The Spammer". mohor 8024d 03h /ethmac/trunk/
168 CarrierSenseLost bug fixed when operating in full duplex mode. mohor 8025d 00h /ethmac/trunk/
167 Sometimes both RxB_IRQ and RxE_IRQ were activated. Bug fixed. mohor 8026d 00h /ethmac/trunk/
166 Reception is possible after RxPointer is read and not after BD is read. For
that reason RxBDReady is changed to RxReady.
Busy_IRQ interrupt connected. When there is no RxBD ready and frame
comes, interrupt is generated.
mohor 8027d 01h /ethmac/trunk/
165 HASH improvement needed. mohor 8027d 04h /ethmac/trunk/
164 Ethernet debug registers removed. mohor 8027d 04h /ethmac/trunk/
163 Another temporary version. Core is almost finished. Testbench not included,
yet"
mohor 8027d 20h /ethmac/trunk/
162 Another temporary version. Core is almost finished. Testbench not included,
yet.
mohor 8027d 20h /ethmac/trunk/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.