OpenCores
URL https://opencores.org/ocsvn/ft816float/ft816float/trunk

Subversion Repositories ft816float

[/] [ft816float/] [trunk/] [posit_test_bench/] - Rev 88

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
47 - added fully pipelined multiplier + test bench robfinch 1309d 08h /ft816float/trunk/posit_test_bench/
46 - improved posit to int rounding fractions robfinch 1312d 02h /ft816float/trunk/posit_test_bench/
45 - add posit to integer function robfinch 1312d 05h /ft816float/trunk/posit_test_bench/
44 - revised addsub unit robfinch 1322d 23h /ft816float/trunk/posit_test_bench/
43 - added posit divide robfinch 1325d 20h /ft816float/trunk/posit_test_bench/
42 - posit fused dot product robfinch 1504d 04h /ft816float/trunk/posit_test_bench/
39 - added posit square root operation robfinch 1505d 16h /ft816float/trunk/posit_test_bench/
38 - adding posit multiplier robfinch 1508d 03h /ft816float/trunk/posit_test_bench/
36 - adding posit number support robfinch 1508d 10h /ft816float/trunk/posit_test_bench/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.