OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] - Rev 81

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
81 Added a view called "rtl" funbase 4613d 11h /funbase_ip_library/
80 SDRAM2HIBI added. NOT VERIFIED THOROUGHLY! lanttu 4614d 08h /funbase_ip_library/
79 UDP2HIBI added. NOT VERIFIED THOROUGHLY! lanttu 4614d 08h /funbase_ip_library/
78 DE2 sdram controller bus definitions. siwastaja 4614d 08h /funbase_ip_library/
77 Deleted the stuff that will be automatically created again by Quartus funbase 4614d 10h /funbase_ip_library/
76 funbase 4614d 10h /funbase_ip_library/
75 funbase 4614d 12h /funbase_ip_library/
74 funbase 4614d 12h /funbase_ip_library/
73 Set model parameter SIGNAL_VAL explicitly for sig_gen. funbase 4614d 12h /funbase_ip_library/
72 Clarifying funbase 4614d 12h /funbase_ip_library/
71 Added small comments funbase 4614d 12h /funbase_ip_library/
70 Small comment added funbase 4614d 13h /funbase_ip_library/
69 Small additions funbase 4614d 13h /funbase_ip_library/
68 I first exported this component here. However, it used hibi v2 and encountered problems, since funbase_ip_library contains only hibi v3. So I removed this until the problems have been fixed. Sorry for the inconvenience. funbase 4619d 10h /funbase_ip_library/
67 Added the component used for testing the basics of new HIBI-compliant IP. funbase 4619d 11h /funbase_ip_library/
66 Perfective. Clarified the codes. However, setup_tb_n2h2_tx_fo.do is still rather strange funbase 4619d 15h /funbase_ip_library/
65 Added documentation ase 4623d 10h /funbase_ip_library/
64 funbase 4627d 11h /funbase_ip_library/
63 Brief general description added. funbase 4627d 12h /funbase_ip_library/
62 Added demo instructions fo altera_de_II_demo lanttu 4628d 11h /funbase_ip_library/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.