OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [ip.hwp.communication/] [hibi/] - Rev 174

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
174 Set priority generics values for hibi_wrappers in hibi segment designs lanttu 4138d 08h /funbase_ip_library/trunk/TUT/ip.hwp.communication/hibi/
163 added n_agents_g lanttu 4179d 01h /funbase_ip_library/trunk/TUT/ip.hwp.communication/hibi/
162 hibi_orbus_6p bug fix (added port 4 and port 5) lanttu 4179d 02h /funbase_ip_library/trunk/TUT/ip.hwp.communication/hibi/
158 fixed bugs in hibi_segment_6p lanttu 4195d 05h /funbase_ip_library/trunk/TUT/ip.hwp.communication/hibi/
155 6 port hibi_segment added lanttu 4224d 02h /funbase_ip_library/trunk/TUT/ip.hwp.communication/hibi/
151 - Basic tester example fixed.
- Added default values to HIBI segment
lanttu 4275d 22h /funbase_ip_library/trunk/TUT/ip.hwp.communication/hibi/
149 HIBI fixed with port default values. lanttu 4276d 00h /funbase_ip_library/trunk/TUT/ip.hwp.communication/hibi/
147 Updated Nios and ublaze cpu component vendors from TUT to Altera and Xilinx.
Updated all designs usign these cpu components.
lanttu 4357d 01h /funbase_ip_library/trunk/TUT/ip.hwp.communication/hibi/
145 Funbase IP library refactored to be compatible with Kactus2 version 2.0 lanttu 4415d 22h /funbase_ip_library/trunk/TUT/ip.hwp.communication/hibi/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.