OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [ip.hwp.communication/] [hibi/] [3.0/] [vhd/] - Rev 174

Rev

Filtering Options

Clear current filter

Rev Log message Author Age Path
174 Set priority generics values for hibi_wrappers in hibi segment designs lanttu 4095d 14h /funbase_ip_library/trunk/TUT/ip.hwp.communication/hibi/3.0/vhd/
163 added n_agents_g lanttu 4136d 08h /funbase_ip_library/trunk/TUT/ip.hwp.communication/hibi/3.0/vhd/
162 hibi_orbus_6p bug fix (added port 4 and port 5) lanttu 4136d 08h /funbase_ip_library/trunk/TUT/ip.hwp.communication/hibi/3.0/vhd/
158 fixed bugs in hibi_segment_6p lanttu 4152d 11h /funbase_ip_library/trunk/TUT/ip.hwp.communication/hibi/3.0/vhd/
155 6 port hibi_segment added lanttu 4181d 09h /funbase_ip_library/trunk/TUT/ip.hwp.communication/hibi/3.0/vhd/
149 HIBI fixed with port default values. lanttu 4233d 06h /funbase_ip_library/trunk/TUT/ip.hwp.communication/hibi/3.0/vhd/
145 Funbase IP library refactored to be compatible with Kactus2 version 2.0 lanttu 4373d 05h /funbase_ip_library/trunk/TUT/ip.hwp.communication/hibi/3.0/vhd/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.