OpenCores
URL https://opencores.org/ocsvn/gpio/gpio/trunk

Subversion Repositories gpio

[/] [gpio/] [trunk/] - Rev 31

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
31 Bug fix. Interrupts were also asserted when condition was not met. lampret 7871d 20h /gpio/trunk/
29 Added ifdef to remove mux from clk_pad_i if mux is not allowed. This also removes RGPIO_CTRL[NEC]. lampret 7878d 21h /gpio/trunk/
27 negedge flops are enabled by default. lampret 8068d 01h /gpio/trunk/
26 Removed zero padding as per Avi Shamli suggestion. lampret 8121d 22h /gpio/trunk/
25 Ports changed per Ran Aviram suggestions. lampret 8121d 22h /gpio/trunk/
24 Interrupt is asserted only when an input changes (code patch by Jacob Gorban) lampret 8126d 15h /gpio/trunk/
23 Changed registered WISHBONE outputs wb_ack_o/wb_err_o to follow WB specification. lampret 8180d 00h /gpio/trunk/
22 Fixed two typos. lampret 8200d 02h /gpio/trunk/
21 Added RGPIO_INTS. lampret 8200d 02h /gpio/trunk/
20 Fixing style. lampret 8212d 22h /gpio/trunk/
19 Fixed bug when wb_inta_o is registered (GPIO_WB_REGISTERED_OUTPUTS) lampret 8213d 12h /gpio/trunk/
18 Updated timing and fixed some typing errors. lampret 8240d 17h /gpio/trunk/
17 Added GPIO_REGISTERED_WB_OUTPUTS, GPIO_REGISTERED_IO_OUTPUTS and GPIO_NO_NEGEDGE_FLOPS. lampret 8240d 17h /gpio/trunk/
16 Updated documentation with new port names, RGPIO_CTRL and parameters lampret 8255d 17h /gpio/trunk/
15 Fixed wb_err_o. lampret 8255d 17h /gpio/trunk/
14 Changed top level ptc into gpio_top. Changed defines.v into gpio_defines.v. lampret 8298d 00h /gpio/trunk/
13 Changed VCD output location. lampret 8298d 02h /gpio/trunk/
12 Changed gpio top level into gpio_top. Changed defines.v into gpio_defines.v. lampret 8298d 03h /gpio/trunk/
11 More intensive verification. lampret 8325d 21h /gpio/trunk/
10 Changed directory structure, port names and drfines. lampret 8325d 21h /gpio/trunk/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.