OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [trunk/] - Rev 17

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
17 Updated reg.v content. edn_walter 4465d 13h /ha1588/trunk/
16 Try to add sth. edn_walter 4469d 06h /ha1588/trunk/
15 Renamed module name for tsu and rtc.
Added folder for reg and top.
Added folder for sopc, preparing for Altera SOPC Builder customized component.
edn_walter 4471d 15h /ha1588/trunk/
14 Added test case support for UDP/IPv6 PTP frames. edn_walter 4473d 15h /ha1588/trunk/
13 Added test case support for single VLAN and double VLAN L2/L4 PTP frames. edn_walter 4474d 15h /ha1588/trunk/
12 Added parser support for vlan tagged frames. edn_walter 4475d 13h /ha1588/trunk/
11 Added parser support for L2_PTP and IPv4/v6_UDP_PTP frame formats. edn_walter 4476d 15h /ha1588/trunk/
10 Added parser support for L2_PTP and IPv4_UDP_PTP frame formats. edn_walter 4477d 15h /ha1588/trunk/
9 Timestamp format in the queue = seqId_16bit + msgId_4bit + timeStamp1s_2bit + timeStamp1ns_30bit edn_walter 4478d 14h /ha1588/trunk/
8 Timestamp format in the queue = seqId_16bit + msgId_2bit + timeStamp_30bit edn_walter 4478d 21h /ha1588/trunk/
7 Reduced the timestamp length from 80b to 30b to save memory, since the software could be fast enough to handle timestamp rollover events per 1s. Enlarged the fifo depth to 15, to accomodate 10 ptp sync messages per 1s. edn_walter 4478d 22h /ha1588/trunk/
6 Reduced the size of the Vendor specific simulation library file. ash_riple 4480d 22h /ha1588/trunk/
5 Added dcfifo to store ptp time stamps. ash_riple 4481d 13h /ha1588/trunk/
4 Added source code and unit test for TSU. ash_riple 4482d 14h /ha1588/trunk/
3 Added function block RTC and its unit test. ash_riple 4489d 14h /ha1588/trunk/
2 Try to add sth. to the repository. ash_riple 4489d 14h /ha1588/trunk/
1 The project and the structure was created root 4489d 21h /ha1588/trunk/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.