OpenCores
URL https://opencores.org/ocsvn/hpc-16/hpc-16/trunk

Subversion Repositories hpc-16

[/] [hpc-16/] [trunk/] [impl0/] [rtl/] - Rev 18

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
15 New directory structure. root 5584d 21h /hpc-16/trunk/impl0/rtl/
14 just change the binding of con1, for further testing of "rtlfast" umairsiddiqui 6437d 11h /hpc-16/trunk/impl0/rtl/
12 speed patch umairsiddiqui 6452d 10h /hpc-16/trunk/impl0/rtl/
11 interrupt related minor issue umairsiddiqui 6452d 21h /hpc-16/trunk/impl0/rtl/
10 interrupt related minor issue umairsiddiqui 6453d 04h /hpc-16/trunk/impl0/rtl/
8 added generic arith unit for ALU, fixed control unit -- STB_O is now one-shot (need to update waveforms in doc). umairsiddiqui 6601d 00h /hpc-16/trunk/impl0/rtl/
6 refactered fcmp, nontri version files added umairsiddiqui 6735d 10h /hpc-16/trunk/impl0/rtl/
4 correction 14-sep-2005 umairsiddiqui 6857d 17h /hpc-16/trunk/impl0/rtl/
2 hpc-16 first release umairsiddiqui 6866d 22h /hpc-16/trunk/impl0/rtl/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.