OpenCores
URL https://opencores.org/ocsvn/ion/ion/trunk

Subversion Repositories ion

[/] [ion/] - Rev 98

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
98 CPU rd and wr data address buses unified ja_rd 4886d 02h /ion/
97 CPU rd and wr data address buses unified ja_rd 4886d 02h /ion/
96 CPU rd and wr data address buses unified ja_rd 4886d 02h /ion/
95 BUG FIX: cache stub properly handles all kind of cycles now ja_rd 4896d 23h /ion/
94 Pregenerated demo 'hello' files updated ja_rd 4896d 23h /ion/
93 SW simulator supports 'log trigger address' and keyboard input as simulated UART RX.
Project parameters now launch adventure demo automatically
ja_rd 4896d 23h /ion/
92 'hello' demo updated to use new startup files ja_rd 4896d 23h /ion/
91 FIX: startup files can now be used to run from FLASH or BRAM ja_rd 4896d 23h /ion/
90 Added 'Adventure' demo to be run from the DE-1 FLASH ja_rd 4896d 23h /ion/
89 Added startup and utility functions for 'bare metal' applications running from FLASH, plus linker file ja_rd 4896d 23h /ion/
88 Added UART RX interface to MPU template ja_rd 4896d 23h /ion/
87 Added UART RX interface to MPU template ja_rd 4896d 23h /ion/
86 Adapted TB template to use log trigger address ja_rd 4896d 23h /ion/
85 BUG FIX: log2 function was wrong ja_rd 4896d 23h /ion/
84 Added 'trigger address' for file logging to both the
vhdl TB and the python script
ja_rd 4896d 23h /ion/
83 BUG FIX: LHU was not doing sign extension properly
BUG FIX: SLTIU decoding was wrong
ja_rd 4896d 23h /ion/
82 bug fix: SLTI wasn't working properly in some cases ja_rd 4898d 23h /ion/
81 Added a wait state to the SRAM area for the DE-1 demo code ja_rd 4905d 18h /ion/
80 Stub cache fixed
Now supports code refills from static 16- and 8- bit memory
Plus many mirror corrections
ja_rd 4905d 18h /ion/
79 modelsim wave window script updated ja_rd 4906d 20h /ion/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.