OpenCores
URL https://opencores.org/ocsvn/m1_core/m1_core/trunk

Subversion Repositories m1_core

[/] [m1_core/] [trunk/] - Rev 26

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
26 Changed blocking / non-blocking assignments for MUL and DIV requests fafa1971 5835d 23h /m1_core/trunk/
25 For now the top-level for synthesis is just m1_cpu fafa1971 5835d 23h /m1_core/trunk/
24 Corrected include dirs fafa1971 5835d 23h /m1_core/trunk/
23 New script using the correct command file for synthesis with Xilinx ISE WebPack fafa1971 5835d 23h /m1_core/trunk/
22 Added script file for synthesis with Xilinx ISE WebPack fafa1971 5835d 23h /m1_core/trunk/
21 First revision (you should substitute '~' char with real path). fafa1971 5842d 16h /m1_core/trunk/
20 Used only lower bits also for SRAV instruction. fafa1971 5861d 04h /m1_core/trunk/
19 Added changes suggested by Paolo Piscopo & Simone Lunardo to fix the bugs they found. fafa1971 5871d 00h /m1_core/trunk/
18 Limited range of SHAMT (shift amount) to be only 5 bits ([4:0]) fafa1971 5871d 00h /m1_core/trunk/
17 Added functional verification tests written by Simone Lunardo & Paolo Piscopo. fafa1971 5871d 00h /m1_core/trunk/
16 Corrected some bugs found by Simone Lunardo and Paolo Piscopo. fafa1971 5914d 23h /m1_core/trunk/
15 Added default case for ALU. fafa1971 5915d 00h /m1_core/trunk/
14 Added "lain.ux"-style check for existance of M1_ROOT environment variable before proceeding. fafa1971 5980d 04h /m1_core/trunk/
13 Final version of synthesis script at 250 MHz. fafa1971 5991d 23h /m1_core/trunk/
12 New synthesis script. fafa1971 5991d 23h /m1_core/trunk/
11 First version. fafa1971 5991d 23h /m1_core/trunk/
10 The leading "." char has been stripped away to simplify Unix file identification. fafa1971 5991d 23h /m1_core/trunk/
9 Now links the setup file fafa1971 5991d 23h /m1_core/trunk/
8 Technology independent setup file fafa1971 5991d 23h /m1_core/trunk/
7 It should not stay here! fafa1971 6008d 01h /m1_core/trunk/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.