OpenCores
URL https://opencores.org/ocsvn/matrix3x3/matrix3x3/trunk

Subversion Repositories matrix3x3

[/] [matrix3x3/] [trunk/] - Rev 9

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
8 New directory structure. root 5568d 02h /matrix3x3/trunk/
7 Matrix 3x3 and Color Convertion projects were separated. Files were renamed. michland 6311d 03h /trunk/
6 Add licensing information michland 6311d 03h /trunk/
5 was added factors for computer RGB to YCgCo conversion and for YCgCo
to computer RGB conversion
vv_gulyaev 6455d 05h /trunk/
4 was error in shift register vv_gulyaev 6505d 09h /trunk/
2 The first release. We hope it'll be usefull. michland 6506d 04h /trunk/
1 Standard project directories initialized by cvs2svn. 6506d 04h /trunk/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.