OpenCores
URL https://opencores.org/ocsvn/matrix3x3/matrix3x3/trunk

Subversion Repositories matrix3x3

[/] [matrix3x3/] [trunk/] [rtl/] - Rev 8

Rev

Filtering Options

Clear current filter

Rev Log message Author Age Path
8 New directory structure. root 5578d 15h /matrix3x3/trunk/rtl/
7 Matrix 3x3 and Color Convertion projects were separated. Files were renamed. michland 6321d 16h /trunk/rtl/
6 Add licensing information michland 6321d 16h /trunk/rtl/
5 was added factors for computer RGB to YCgCo conversion and for YCgCo
to computer RGB conversion
vv_gulyaev 6465d 18h /trunk/rtl/
4 was error in shift register vv_gulyaev 6515d 22h /trunk/rtl/
2 The first release. We hope it'll be usefull. michland 6516d 17h /trunk/rtl/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.