OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] - Rev 207

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
207 Added a simple 8-bit, fixed asynchronous serial interface with compile time settable bit-rate, parity enable, and parity mode generics. jshamlet 1530d 21h /open8_urisc/trunk/VHDL/
206 Merged interrupt logic with other clocked process. jshamlet 1534d 16h /open8_urisc/trunk/VHDL/
205 More code and comment cleanup for the new SDLC engine jshamlet 1534d 16h /open8_urisc/trunk/VHDL/
204 Fixed more incorrect comments jshamlet 1534d 17h /open8_urisc/trunk/VHDL/
203 Removed an extra delay FF from the bitclock rising edge signal for the clock slave configuration to better center the rising edge pulse on the receive signal. jshamlet 1534d 23h /open8_urisc/trunk/VHDL/
202 Fixed receiver bug that caused false flag detection,
Split the large sdlc_serial_ctrl entity into sub-entities to make debugging easier.
jshamlet 1535d 00h /open8_urisc/trunk/VHDL/
201 Fixed comments regarding RX Checksum location jshamlet 1536d 21h /open8_urisc/trunk/VHDL/
200 Renamed dual-port buffer to match other entities. jshamlet 1536d 21h /open8_urisc/trunk/VHDL/
199 Added monitor ram for debugging and fixed issue with dual-port read path. jshamlet 1536d 21h /open8_urisc/trunk/VHDL/
198 Removed debugging memory jshamlet 1537d 05h /open8_urisc/trunk/VHDL/
197 Fixed incorrect comments jshamlet 1537d 06h /open8_urisc/trunk/VHDL/
196 Modified the update logic to allow direct writes to offset 0xFE for refreshing the clock status. This way, any write to the clock status register will immediately be undone. (Writing 0x00 to offset 0xFF is once-more ignored) jshamlet 1537d 06h /open8_urisc/trunk/VHDL/
195 Added dual-port RAM core for SDLC interface. jshamlet 1538d 01h /open8_urisc/trunk/VHDL/
194 Cleaned up licensing sections jshamlet 1538d 01h /open8_urisc/trunk/VHDL/
193 Fixed incorrect comment in o8_alu16.vhd. The value of the write to 0x1F doesn't matter, as the write itself triggers the calculation. jshamlet 1538d 01h /open8_urisc/trunk/VHDL/
192 Added SDLC packet engine jshamlet 1538d 02h /open8_urisc/trunk/VHDL/
191 Cleaned up comments, added back the OPEN8_NULLBUS constant, and added some new modules for ADCs and LCD displays.
Also made the button input module more configurable by moving the debounce code to a separate entity and using generics to instantiate it.
jshamlet 1538d 02h /open8_urisc/trunk/VHDL/
190 Fixed a bug in CPU where RTI/RTS wasn't idling the instruction cache, causing intermittent failures where RTI would execute as RTS, corrupting the stack;
Fixed a bug in the real-time clock where the uSec tick generator would stop if the PIT timer value was left/set to 0x00.
jshamlet 1549d 23h /open8_urisc/trunk/VHDL/
189 Merged changes from private repository,
added ceil_log2 function to Open8_pkg, since it is used to calculate RAM vectors,
cleaned up comments and removed local copies of the ceil_log2 function from peripherals.
jshamlet 1551d 00h /open8_urisc/trunk/VHDL/
188 Added a generic to alter the behavior of RTI so that it can optionally skip restoring the general purpose flags GP4 to GP7, allowing ISR's to make persistent changes to them. Also exported these flags to the top level for use outside the CPU. jshamlet 1551d 03h /open8_urisc/trunk/VHDL/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.