OpenCores
URL https://opencores.org/ocsvn/open_hitter/open_hitter/trunk

Subversion Repositories open_hitter

[/] [open_hitter/] [trunk/] - Rev 12

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
12 wrapper test for search_item stvhawes 3426d 04h /open_hitter/trunk/
11 multiplex searh item added stvhawes 3426d 20h /open_hitter/trunk/
10 split source files to sime and rtl stvhawes 3440d 19h /open_hitter/trunk/
9 highlevel block diagram added stvhawes 3441d 16h /open_hitter/trunk/
8 sim sequence error fixed, so 20% success -> 100% success for unit test on fpga stvhawes 3441d 18h /open_hitter/trunk/
7 split clock/byte_ready and fix logic stvhawes 3446d 12h /open_hitter/trunk/
6 fixing synthesizable stvhawes 3447d 20h /open_hitter/trunk/
5 fixing synthesizable stvhawes 3448d 01h /open_hitter/trunk/
4 developing ideas around unit test / fpga verification stvhawes 3448d 13h /open_hitter/trunk/
3 developing ideas around unit test / fpga verification stvhawes 3448d 13h /open_hitter/trunk/
2 initial sources, wrappers for regression test harness stvhawes 3459d 15h /open_hitter/trunk/
1 The project and the structure was created root 3461d 10h /open_hitter/trunk/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.