OpenCores
URL https://opencores.org/ocsvn/opencpu32/opencpu32/trunk

Subversion Repositories opencpu32

[/] [opencpu32/] [trunk/] [hdl/] [opencpu32/] - Rev 22

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
22 Adding ControlUnit skeleton leonardoaraujo.santos 4478d 08h /opencpu32/trunk/hdl/opencpu32/
21 Add new test case on DataPath leonardoaraujo.santos 4478d 09h /opencpu32/trunk/hdl/opencpu32/
20 Still working on Datapath (Lack of status signals, and shift operations)
I will add some of them on the Alu
leonardoaraujo.santos 4478d 09h /opencpu32/trunk/hdl/opencpu32/
19 Working on DataPath leonardoaraujo.santos 4478d 20h /opencpu32/trunk/hdl/opencpu32/
18 Adding finish to all simulations...
Changing number of ports of Multiplexer
leonardoaraujo.santos 4478d 21h /opencpu32/trunk/hdl/opencpu32/
17 Starting work on DataPath
Adding some tutorials
leonardoaraujo.santos 4479d 11h /opencpu32/trunk/hdl/opencpu32/
16 Adding pass operation on Alu, finishing RegisterFile tests... leonardoaraujo.santos 4480d 06h /opencpu32/trunk/hdl/opencpu32/
15 Bug fix on the regFile.... leonardoaraujo.santos 4480d 11h /opencpu32/trunk/hdl/opencpu32/
14 Working on the register file leonardoaraujo.santos 4480d 11h /opencpu32/trunk/hdl/opencpu32/
13 Adding triState buffer leonardoaraujo.santos 4480d 16h /opencpu32/trunk/hdl/opencpu32/
12 Fixing some comments on the testbench that was causing doxygen 1.8.0 leonardoaraujo.santos 4481d 13h /opencpu32/trunk/hdl/opencpu32/
10 Working on the multiplexer leonardoaraujo.santos 4482d 07h /opencpu32/trunk/hdl/opencpu32/
9 Adding testbench for Alu leonardoaraujo.santos 4482d 07h /opencpu32/trunk/hdl/opencpu32/
8 Adding some stuff on the Alu leonardoaraujo.santos 4482d 12h /opencpu32/trunk/hdl/opencpu32/
6 leonardoaraujo.santos 4483d 00h /opencpu32/trunk/hdl/opencpu32/
5 Adding ISE project, doxygen configuration, some unfinished circuits (ALU. Mux21) leonardoaraujo.santos 4483d 07h /opencpu32/trunk/hdl/opencpu32/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.