OpenCores
URL https://opencores.org/ocsvn/opencpu32/opencpu32/trunk

Subversion Repositories opencpu32

[/] [opencpu32/] [trunk/] [hdl/] [opencpu32/] [_xmsgs/] - Rev 24

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
24 Working on the Control unit, (Don't forget to add the Carry, Flags Out, shift/rotates on the Alu...) leonardoaraujo.santos 4458d 19h /opencpu32/trunk/hdl/opencpu32/_xmsgs/
22 Adding ControlUnit skeleton leonardoaraujo.santos 4460d 16h /opencpu32/trunk/hdl/opencpu32/_xmsgs/
20 Still working on Datapath (Lack of status signals, and shift operations)
I will add some of them on the Alu
leonardoaraujo.santos 4460d 17h /opencpu32/trunk/hdl/opencpu32/_xmsgs/
19 Working on DataPath leonardoaraujo.santos 4461d 04h /opencpu32/trunk/hdl/opencpu32/_xmsgs/
18 Adding finish to all simulations...
Changing number of ports of Multiplexer
leonardoaraujo.santos 4461d 05h /opencpu32/trunk/hdl/opencpu32/_xmsgs/
17 Starting work on DataPath
Adding some tutorials
leonardoaraujo.santos 4461d 18h /opencpu32/trunk/hdl/opencpu32/_xmsgs/
16 Adding pass operation on Alu, finishing RegisterFile tests... leonardoaraujo.santos 4462d 14h /opencpu32/trunk/hdl/opencpu32/_xmsgs/
14 Working on the register file leonardoaraujo.santos 4462d 19h /opencpu32/trunk/hdl/opencpu32/_xmsgs/
13 Adding triState buffer leonardoaraujo.santos 4462d 23h /opencpu32/trunk/hdl/opencpu32/_xmsgs/
12 Fixing some comments on the testbench that was causing doxygen 1.8.0 leonardoaraujo.santos 4463d 20h /opencpu32/trunk/hdl/opencpu32/_xmsgs/
10 Working on the multiplexer leonardoaraujo.santos 4464d 14h /opencpu32/trunk/hdl/opencpu32/_xmsgs/
9 Adding testbench for Alu leonardoaraujo.santos 4464d 15h /opencpu32/trunk/hdl/opencpu32/_xmsgs/
8 Adding some stuff on the Alu leonardoaraujo.santos 4464d 19h /opencpu32/trunk/hdl/opencpu32/_xmsgs/
5 Adding ISE project, doxygen configuration, some unfinished circuits (ALU. Mux21) leonardoaraujo.santos 4465d 15h /opencpu32/trunk/hdl/opencpu32/_xmsgs/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.