OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [branches/] [stable_0_2_x/] - Rev 1063

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
1063 Added BIST scan. Special VS RAMs need to be used to implement BIST. lampret 7972d 19h /or1k/branches/stable_0_2_x/
1062 few cuc bugs fixed markom 7979d 04h /or1k/branches/stable_0_2_x/
1061 ELF sym loading improved markom 7980d 01h /or1k/branches/stable_0_2_x/
1060 cuc sw loading bug fixed markom 7993d 00h /or1k/branches/stable_0_2_x/
1059 several cuc bugs fixed; different verilog cuc file naming markom 7993d 00h /or1k/branches/stable_0_2_x/
1058 Different memory controller. simons 8003d 18h /or1k/branches/stable_0_2_x/
1057 Different memory controller. simons 8003d 18h /or1k/branches/stable_0_2_x/
1055 Removed obsolete comment. lampret 8004d 12h /or1k/branches/stable_0_2_x/
1054 Fixed a combinational loop. lampret 8004d 12h /or1k/branches/stable_0_2_x/
1053 Disabled cache inhibit atttribute. lampret 8004d 12h /or1k/branches/stable_0_2_x/
1052 Delayed wb_err_o. Disabled wb_ack_o when wb_err_o is asserted. lampret 8004d 12h /or1k/branches/stable_0_2_x/
1051 HUGE VOODOO BUG FIXED ivang 8006d 20h /or1k/branches/stable_0_2_x/
1050 Added help for "breaks" command. ivang 8006d 22h /or1k/branches/stable_0_2_x/
1049 Added "breaks" command that prints all set breakpoints. ivang 8006d 22h /or1k/branches/stable_0_2_x/
1048 breakpoint can be set on labels markom 8008d 00h /or1k/branches/stable_0_2_x/
1047 options missing bug fixed markom 8009d 22h /or1k/branches/stable_0_2_x/
1046 cmov pushing through markom 8009d 23h /or1k/branches/stable_0_2_x/
1045 fixed type 2 joining FLAG_REG bug markom 8010d 04h /or1k/branches/stable_0_2_x/
1044 uncond branch simplification bug fixed markom 8010d 06h /or1k/branches/stable_0_2_x/
1043 cuc delay slot loading bug fixed markom 8010d 06h /or1k/branches/stable_0_2_x/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.