OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [nog_patch_61/] - Rev 1365

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
1365 Pass a pointer as the user given argument in the schedular callback nogj 7084d 17h /or1k/tags/nog_patch_61/
1364 Clean up the ata peripheral useing the new set of callbacks nogj 7084d 17h /or1k/tags/nog_patch_61/
1363 Add status callback nogj 7084d 17h /or1k/tags/nog_patch_61/
1362 initialise dev_mem->chip_select in register_memory nogj 7084d 17h /or1k/tags/nog_patch_61/
1361 Cleanup test peripheral nogj 7084d 17h /or1k/tags/nog_patch_61/
1360 Add dynamic hooks to sim_reset nogj 7084d 17h /or1k/tags/nog_patch_61/
1359 Pass private data in readfunc/writefunc callbacks nogj 7084d 17h /or1k/tags/nog_patch_61/
1358 Modularise config file parseing. Paving the way for further modularisation. nogj 7084d 17h /or1k/tags/nog_patch_61/
1355 Fix dmatest testcase nogj 7092d 04h /or1k/tags/nog_patch_61/
1354 typing fixes phoenix 7092d 23h /or1k/tags/nog_patch_61/
1353 Modularise simulator command parsing nogj 7093d 20h /or1k/tags/nog_patch_61/
1352 Optimise execution history tracking nogj 7093d 20h /or1k/tags/nog_patch_61/
1351 Reindent create_watchpoints useing a more compact indentation style nogj 7093d 20h /or1k/tags/nog_patch_61/
1350 Mark a simulated cpu address as such, by introducing the new oraddr_t type nogj 7093d 20h /or1k/tags/nog_patch_61/
1349 Works with GDB jcastillo 7095d 20h /or1k/tags/nog_patch_61/
1348 Converted to current simulator configuration format jcastillo 7099d 01h /or1k/tags/nog_patch_61/
1347 Remove backup file nogj 7105d 07h /or1k/tags/nog_patch_61/
1346 Remove the global op structure nogj 7107d 00h /or1k/tags/nog_patch_61/
1345 Fix out-of-tree builds nogj 7107d 00h /or1k/tags/nog_patch_61/
1344 * Avoid doing a store in *every* instruction executed by storeing the instruction function unit in or32_opcodes nogj 7107d 00h /or1k/tags/nog_patch_61/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.