OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [nog_patch_61/] - Rev 352

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
352 OR1200_REGISTERED_OUTPUTS can now be enabled. lampret 8272d 09h /or1k/tags/nog_patch_61/
351 Fixed some l.trap typos. lampret 8272d 10h /or1k/tags/nog_patch_61/
350 For GDB changed single stepping and disabled trap exception. lampret 8272d 11h /or1k/tags/nog_patch_61/
349 Some bugs regarding cache simulation fixed. simons 8274d 00h /or1k/tags/nog_patch_61/
348 Added instructions on how to build configure. ivang 8275d 08h /or1k/tags/nog_patch_61/
347 Added CRC32 calculation to Ethernet erez 8276d 05h /or1k/tags/nog_patch_61/
346 Improved Ethernet simulation erez 8276d 06h /or1k/tags/nog_patch_61/
345 Added check for net/ethernet.h (needed by ethernet simulator) erez 8276d 06h /or1k/tags/nog_patch_61/
344 added acv test for uart; sim debug now has verbose levels; lot of bugs fixed in uart model markom 8276d 08h /or1k/tags/nog_patch_61/
343 Small touches to test programs erez 8276d 11h /or1k/tags/nog_patch_61/
342 added exception vectors to support and modified section names markom 8277d 07h /or1k/tags/nog_patch_61/
341 added VAPI for uart; uart 16550 support, some bugs fixed markom 8277d 09h /or1k/tags/nog_patch_61/
340 Added hpint vector lampret 8277d 10h /or1k/tags/nog_patch_61/
339 Added setpc test lampret 8277d 10h /or1k/tags/nog_patch_61/
338 Added 'setpc'. Renamed some signals (except_flushpipe into flushpipe etc) lampret 8277d 10h /or1k/tags/nog_patch_61/
337 Fixed tick timer interrupt reporting by using TTCR[IP] bit. lampret 8277d 10h /or1k/tags/nog_patch_61/
336 VAPI works markom 8278d 05h /or1k/tags/nog_patch_61/
335 some small bugs fixed markom 8278d 06h /or1k/tags/nog_patch_61/
334 removed vapi client file markom 8278d 09h /or1k/tags/nog_patch_61/
333 small bug fixed markom 8278d 12h /or1k/tags/nog_patch_61/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.