OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [nog_patch_71/] - Rev 1000

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
1000 IC/DC cache enable routines fixed. simons 7997d 22h /or1k/tags/nog_patch_71/
999 Now every ramdisk image should have init program. simons 7997d 23h /or1k/tags/nog_patch_71/
998 added missing fout initialization markom 7998d 00h /or1k/tags/nog_patch_71/
997 PRINTF should be used instead of printf; command redirection repaired markom 7998d 01h /or1k/tags/nog_patch_71/
996 some minor bugs fixed markom 7999d 00h /or1k/tags/nog_patch_71/
994 Store buffer has been tested and it works. BY default it is still disabled until uClinux confirms correct operation on FPGA board. lampret 7999d 08h /or1k/tags/nog_patch_71/
993 Fixed IMMU bug. lampret 7999d 08h /or1k/tags/nog_patch_71/
992 A bug when cache enabled and bus error comes fixed. simons 7999d 17h /or1k/tags/nog_patch_71/
991 Different memory controller. simons 7999d 17h /or1k/tags/nog_patch_71/
990 Test is now complete. simons 7999d 17h /or1k/tags/nog_patch_71/
989 c++ is making problems so, for now, it is excluded. simons 8001d 01h /or1k/tags/nog_patch_71/
988 ORP architecture supported. simons 8001d 16h /or1k/tags/nog_patch_71/
987 ORP architecture supported. simons 8002d 00h /or1k/tags/nog_patch_71/
986 outputs out of function are not registered anymore markom 8002d 00h /or1k/tags/nog_patch_71/
985 DTLB translation doesn't work on or1ksim when IC/DC enabled. lampret 8002d 12h /or1k/tags/nog_patch_71/
984 Disable SB until it is tested lampret 8002d 12h /or1k/tags/nog_patch_71/
983 First checkin lampret 8002d 14h /or1k/tags/nog_patch_71/
982 Moved to sim/bin lampret 8002d 14h /or1k/tags/nog_patch_71/
981 First checkin. lampret 8002d 14h /or1k/tags/nog_patch_71/
980 Removed sim.tcl that shouldn't be here. lampret 8002d 14h /or1k/tags/nog_patch_71/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.